The Perl Toolchain Summit needs more sponsors. If your company depends on Perl, please support this very important event.
Verilog::Language NEWS --  history of user-visible changes.
Copyright (C) 2000 Wilson Snyder.
See the end for copying conditions.

The contributors that suggested a given feature is shown in [].
	
This uses outline mode in Emacs.  See C-h m [M-x describe-mode].

* Changes in Verilog::Language 2.010 11/16/2001

***	Added netlist interconnectivity checks.


* Changes in Verilog::Language 2.000 9/17/2001

**	Added the Verilog::Netlist package.
	This allows for simple scripts to extract pins, module
	hiearchy, etc from interconnected Verilog files.

***	Added Parser reset() method for clearing parse states
	for new files.  [Joe Panec]

* Changes in Verilog::Language 1.15 10/25/2001

**	Added $assert_req_ack for checking simple handshakes.

**	Added --nostop, and made --stop be the default.
	This adds a $stop to $warn and $error, which is easier
	for new users to understand as no pli.v is required.


* Changes in Verilog::Language 1.14 9/17/2001

***	Fixed bug when endmodule/endtask/endfunction have
	no trailing ;.  [Darren Jones]

***	Added Verilog 2001 keywords to Verilog::Language.


* Changes in Verilog::Language 1.13 5/17/2001

***	Added Verilog::Getopt::get_parameter() function.

***	Added Verilog::Getopt::file_abs() function.

***	Added missing keywords to Verilog::Language:
	deassign disable extern highz0 highz1 large medium pull0
	pull1 release scalared small strong0 strong1 weak0 weak1


* Changes in Verilog::Language 1.12 5/15/2001

**	Added new Verilog::Getopt, for standard option parsing.


* Changes in Verilog::Language 1.11 3/31/2001

***	Fixed \net### hang in Parser.  [Mark Lakata]


* Changes in Verilog::Language 1.10 3/15/2001

***	Fixed line number being incorrect in Parser.  [Alan Heinold]


* Changes in Verilog::Language 1.9 2/13/2001

**	Added Verilog::Language::is_compdirect.  [Darren Jones]


* Changes in Verilog::Language 1.7 11/02/2000

**	Added parametric module support to Parser.pm.  [Darren Jones]

****	Fixed bug where // comments with no following text broke.
	[Darren Jones]


* Changes in Verilog::Language 1.6 9/07/2000

**	Added the vpm preprocessor

****	Fixed bug where missing end-quote would hang Verilog::Parser


* Changes in Verilog::Language 1.5 5/22/2000

**	Allowed non-numerics in bus subscripts
	[Alan.Heinold@East.Sun.COM]

***	Fixed bug where lines with just a newline would boggle the linecount.


* Changes in Verilog::Language 1.4 1/21/2000

****	test.pl added


----------------------------------------------------------------------
$Id: NEWS,v 1.22 2001/11/16 14:57:51 wsnyder Exp $
----------------------------------------------------------------------
Copyright information:

Copyright (C) 2000 Wilson Snyder

   See the README file included with this file for licencing information.

Local variables:
mode: outline
paragraph-separate: "[ 	]*$"
end: