The London Perl and Raku Workshop takes place on 26th Oct 2024. If your company depends on Perl, please consider sponsoring and/or attending.
SystemC::Netlist NEWS --  history of user-visible changes.
Copyright (C) 2001 Wilson Snyder.

The contributors that suggested a given feature is shown in [].
	
This uses outline mode in Emacs.  See C-h m [M-x describe-mode].


* Changes in SystemC 1.140 4/6/2003

***	Allow "sp use DEFINE" where DEFINE is a symbol like ALIAS_CELL
	that should be replaced with the definition value of ALIAS_CELL.

***	Support tracing AUTOENUM types. [Greg Waters]

****	Report warning if SP_TRACED variables aren't traced. [Greg Waters]

****	Allow enum values to initialize to symbolic constants. [Greg Waters]

****	Use low level I/O routines to improve tracing by 30%.

****	Have AUTOTRACE use values from the module that generates the signal
	rather then the top level.  With Verilator, tracing is 10% faster.

****	Support bison 1.75

* Changes in SystemC 1.130 11/3/2002

**	Support SystemC version 2.0.1.  A patch is required for tracing
	input signals and sc_bv types, see the the README file.

***	Add example of SP_COVER statement.


* Changes in SystemC 1.122 8/29/2002

***	Put AUTOINOUT signals under that label, so they can be public
	while AUTOSIGNALs can be private.  [Greg Waters]

***	Support signals in inherited sc_modules. [Navit Fedida]


* Changes in SystemC 1.120 8/19/2002

***	Support modules declared as inherited from sc_module. [Navit Fedida]


* Changes in SystemC 1.110 8/8/2002

****	Allow traced modules to determine if they might have any activity.
	Used for Verilator3 to improve trace speed by about 60%.


* Changes in SystemC 1.100 3/11/2002

**	Added Emacs mode in systemc-mode.el

**	Added #sp use "filename", for determining link objects automatically.

***	Allow modules not specified on the command line to be found
	automatically by using the search path (ala Verilog.)

****	SystemC::Parser now emits a separate text() callback for the text
	of a AUTO.  This allows programs to more easily replace the text inline.

****	Improve tracing to allow smaller files, rollover, inlining child traces.


* Changes in SystemC 1.000 11/16/2001

**	Moved Netlist guts into Verilog package,
	made SystemC a derrived class.  This allows mixed-mode netlists.

**	$SYSTEMPERL should now point to the root of this package,
	not the root/src directory.

***	Include header guards in .cpp file so that multiple CONCAT
	inclusions with sp_includer work OK.

***	Allow tracing of sc_bv<>'s

****	Addition of experimental sclite library
	for testing package without SystemC installed.

* Changes in SystemC 0.430 9/26/2001

**	Added #sp include directive.  [Experimental, some crashes]

**	AUTOMETHODS is the new name for AUTODECLS
	AUTOMETHODS will create a SC_CTOR(__MODULE__); if there is not
	already one in the class interface.

**	AUTOTRACE uses a internal library to trace ports.
	AUTOTRACE should work on all clocks, ports, arrays, etc, and
	any simple types marked with SP_TRACED.
	AUTOTRACE requires AUTOMETHODS to be used in the class interface.

**	The #include systemperl.h at the top of all .h files has been removed.
	It must be included manually.  This allows systemperl to be used in
	non-systemc applications.

***	AUTOENUM ascii() method implementations are now put in the .cpp
	rather then the .h file.  This is indicated by a AUTOIMPLEMENTATION
	section, which is inserted automatically at the bottom of the .cpp
	file if not elsewhere in the file.

***	Detect and report unterminated strings, automodes, comment blocks.

***	Handle character constants ('a', '\0').  Handle octal and hex
	escape sequences in strings and char-constants.

***	Handle nested typenames (e.g. sc_out<ClassFoo::EnumBar>).



* Changes in SystemC 0.420 6/27/2001

**	Added AUTOSUBCELL_INCLUDE and AUTOSUBCELL_CLASS

**	Extracted SystemC::Template for other programs needing #line'd files.

**	Comments are now properly extracted for signals & ports.
	Note such comments must begin on the same line as the declaration.

***	Fixed extra #line statements in output files.

***	Added directional comment to /*AUTOINST*/ created pins.

***	Fixed bug with recognizing sc_inouts.  [Amir Sahar]


* Changes in SystemC 0.410 5/21/2001

**	Added AUTOTRACE for creating trace statements for all signals.

**	Added sp_includer and sp_makecheck for faster SystemC compiles.

***	Added sp_preproc --libcell for cells that are not to be expanded.
	This is useful for hierarchical sp_preproc'essing.

* Changes in SystemC 0.400 5/4/2001

**	Added AUTOENUM_* for ascii displays of enum values.

**	Added SP_CTOR_IMP for constructors outside of the class decl.

**	Added SP_CELL_DECL, and allowed arrayed cell declarations.

**	Added SP_CELL_FORM for printf formatting of cell name.

**	Fixed class x {enum y}, class z {enum y} problem.

***	Fixed test on Solaris Linux.

****	The debugging dump methods are now ->dump, not ->print.


* Changes in SystemC 0.300 4/3/2001

**	sc_clocks are now recognized as signal declarations.

**	sc_main is considered similar to a SC_MODULE(sc_main)

****	Support Perl 5.005_003 and non-GCC

****	Many, Many bug fixes.


* Changes in SystemC 0.1 3/31/2001

****	Package Created


----------------------------------------------------------------------
$Revision: #60 $$Date: 2003/05/06 $$Author: wsnyder $
----------------------------------------------------------------------
Copyright information:

Copyright (C) 2001 Wilson Snyder

   See the README file included with this file for licencing information.

Local variables:
mode: outline
paragraph-separate: "[ 	]*$"
end: