The Perl Toolchain Summit needs more sponsors. If your company depends on Perl, please support this very important event.

Documentation

Bison wrapper with pre and post processing
Create callback functions for Verilog-Perl internals
Overview of Verilog language packages for Perl
Return all files in a verilog hierarchy using Verilog::Netlist
Preprocess Verilog code assertions
Preprocess Verilog code using verilog-perl
change signal names across many Verilog files

Modules

Split Verilog modules into separate files.
Get Verilog command line options
Verilog language utilities
Verilog Netlist
Instantiated cell within a Verilog Netlist
File containing Verilog code
Interface within a Verilog Netlist
Error collection and reporting
Module within a Verilog Netlist
Net for a Verilog Module
Pin on a Verilog Cell
Port for a Verilog Module
Common routines for all classes
Parse Verilog language files
Signal Parsing for Verilog language files
Preprocess Verilog files
SystemVerilog Built-in std Package Definition

Provides

in Netlist/File.pm